一站式电子元器件采购平台

华强商城公众号

一站式电子元器件采购平台

元器件移动商城,随时随地采购

华强商城M站

元器件移动商城,随时随地采购

半导体行业观察第一站!

芯八哥公众号

半导体行业观察第一站!

专注电子产业链,坚持深度原创

华强微电子公众号

专注电子产业链,
坚持深度原创

电子元器件原材料采购信息平台

华强电子网公众号

电子元器件原材料采购
信息平台

新思科技获台积公司N3E和N4P工艺认证,推动下一代移动和HPC芯片创新

来源:美通社 发布时间:2022-07-11

摘要: 新思科技数字和定制设计流程获得台积公司的N3E和N4P工艺认证,并已推出面向该工艺的广泛IP核组合 加利福尼亚山景城2022年7月11日 / / -- 新思科技(Synopsys, Inc.,纳...

新思科技数字和定制设计流程获得台积公司的N3E和N4P工艺认证,并已推出面向该工艺的广泛IP核组合

加利福尼亚山景城2022年7月11日/ / --   新思科技  (Synopsys, Inc.,纳斯达克股票代码:  SNPS  )近日宣布,其数字和定制设计流程已获得台积公司业界领先的N3E和N4P工艺技术认证,助力客户优化用于移动和高性能计算的下一代系统级芯片(SoC)的性能、功耗和面积(PPA)。新思科技业界领先的基础IP和接口IP也已用于台积公司的N3E和N4P工艺,以加快SoC开发并尽可能地降低设计风险。新思科技数字和定制设计流程以及IP核组合均支持台积公司最新的设计规则手册(DRM)和工艺设计套件(PDK),现已被众多主要客户采用。

台积公司设计基础设施管理事业部处长Dan Kochpatcharin表示:"我们与新思科技已成功合作了几十年,协助共同客户在日益复杂的SoC上实现严格的性能和功耗目标。采用基于台积公司高性能、高能效的N3E和N4P工艺的新思科技设计解决方案,客户可快速推出更具创新性的先进芯片,满足各种计算密集型应用的严格要求。"

点击了解新思科技  数字  和  定制设计  流程,以及  基础IP  和  接口IP产品  的更多信息。

新思科技定制设计产品系列  集成了综合、布局和布线、物理验证、时序签核等多项创新技术,从而能够实现更优PPA结果,并加速设计收敛。针对芯片设计定制领域,新思科技定制设计产品系列中的Custom Compiler  设计和版图解决方案已成功通过新思科技IP团队验证,可为使用台积公司N3E工艺的开发者提供更高的开发效率。此外,  新思科技PrimeSim 电路仿真技术  为先进工艺节点的开发者提供了所需的精度,为电路仿真和可靠性要求提供签核。

新思科技芯片实现事业部副总裁Sanjay Bali表示:"我们与台积公司在每一代工艺节点上都开展了深入的合作,在此过程中,新思科技的数字和定制设计产品系列以及 IP 核组合不断得到优化,为我们的共同客户提供令人信服的PPA优势。我们已经见证了许多合作伙伴在台积公司先进的N3E和N4P工艺上采用新思科技EDA流程和IP,实现成功的芯片设计和下一代创新。"

关于新思科技

新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)是众多创新型公司的Silicon to Software ("芯片到软件")合作伙伴,这些公司致力于开发我们日常所依赖的电子产品和软件应用。作为全球第15大软件公司,新思科技长期以来一直是电子设计自动化 (EDA) 和半导体IP领域的全球领导者,并且在软件安全和质量解决方案方面也发挥着越来越大的领导作用。无论您是先进半导体的片上系统 (SoC) 开发者,还是编写需要最高安全性和质量的应用程序的软件开发者,新思科技都能够提供您所需要的解决方案,帮助您推出创新性、高质量、安全的产品如需了解更多信息,请访问www.synopsys.com/zh-cn。

编辑部联系人:

Jemie Hong
新思科技
wanfang@synopsys.com

声明:本文观点仅代表作者本人,不代表华强商城的观点和立场。如有侵权或者其他问题,请联系本站修改或删除。

社群二维码

关注“华强商城“微信公众号

调查问卷

请问您是:

您希望看到什么内容: